hardcamlversion

RTL Hardware Design in OCaml

Hardcaml is an embedded DSL for designing and simulating hardware in OCaml. Generic hardware designs are easily expressed using features such as higher order functions, lists, maps etc. A built in simulator allows designs to be simulated within Hardcaml. Designs are converted to either Verilog or VHDL to interact with standard back end tooling.

AuthorJane Street Group, LLC <opensource@janestreet.com>
LicenseMIT
Published
Homepagehttps://github.com/janestreet/hardcaml
Issue Trackerhttps://github.com/janestreet/hardcaml/issues
Maintaineropensource@janestreet.com
Availablearch != "arm32" & arch != "x86_32"
Dependencies
Source [http] https://github.com/janestreet/hardcaml/archive/v0.14.2.tar.gz
md5=392c84bfcf1e9931f40bbbbb995f7b0a
Edithttps://github.com/ocaml/opam-repository/tree/master/packages/hardcaml/hardcaml.v0.14.2/opam
Required by